Rivojlantirish vazirligi muhammad al-xorazmiy nomidagi



Download 316,64 Kb.
bet3/6
Sana13.06.2022
Hajmi316,64 Kb.
#665044
1   2   3   4   5   6
Bog'liq
E.Xolboyev 1

Qn

Qn+1

D

1

0

0

0

1

0

1

1

1

1

0

0

1

1

1

1

0

0

0

0

0

0

0

1

0

1

1

0

0

1

1

1

D-triggernig ishlash prinsipi: D-kirishga berilayotgan ma’lumot trigger chiqishida faqat sinxrosignal berilgandagina paydo bo‘ladi. Yuqoridagilardan kelib chiqqan holda D-trigger quyidagi o‘tishlar jadvaliga ega (1-jadval).

T-trigger


Ikki pog‘onali triggerlar registr va hisoblagich kabi ko‘prazryadli qurilmalar ishi uchun mo‘ljallangan bo‘lib, ularda triggerli yacheykalarning ishonchli va aniq
ishlashi talab etiladi. T-trigger sanoq triggeri deb ham ataladi, chunki kirishga aktiv mantiqiy signal berilganda u o‘z holatini qarama-qarshi (teskari) holatga o‘zgartiradi. Ikki pog‘onali triggerlar MS-trigger deb ham ataladi (inglizcha master va slave - usta va yordamchi so‘zlaridan olingan). Nomidan kelib chiqqan holda bunday trigger ikkita RCS-triggerdan tashkil topgan.
Ikkala TM (usta) va TP (yordamchi) triggerlarining kirishlari o’zaro invertor orqali ulangan. TM-TP triggerini to‘liq takt impuls (fronti va kesimi) boshharadi. Haqiqatdan ham, agar har bir trigger impulsning fronti va kesimi bilan boshharilsa, kirishdagi RS-kombinatsiya C takt impulsining fronti kelishi bilan TMga yoziladi. Bu vaqtda TPga ma’lumot yozilishi mumkin emas. C kirish impulsi kesimi kelishi bilan invertor chiqishida u front sifatida hosil bo‘ladi. Demak, Q va Q’ chiqishlardagi ma’lumot C takt impulsi tugagach (kesim kelganda), T (toggle- relaksator) ma’lumot kirishida mantiqiy 1 mavjud bo‘lganda TP ga uzatiladi.
a)

b)



Download 316,64 Kb.

Do'stlaringiz bilan baham:
1   2   3   4   5   6




Ma'lumotlar bazasi mualliflik huquqi bilan himoyalangan ©www.hozir.org 2024
ma'muriyatiga murojaat qiling

kiriting | ro'yxatdan o'tish
    Bosh sahifa
юртда тантана
Боғда битган
Бугун юртда
Эшитганлар жилманглар
Эшитмадим деманглар
битган бодомлар
Yangiariq tumani
qitish marakazi
Raqamli texnologiyalar
ilishida muhokamadan
tasdiqqa tavsiya
tavsiya etilgan
iqtisodiyot kafedrasi
steiermarkischen landesregierung
asarlaringizni yuboring
o'zingizning asarlaringizni
Iltimos faqat
faqat o'zingizning
steierm rkischen
landesregierung fachabteilung
rkischen landesregierung
hamshira loyihasi
loyihasi mavsum
faolyatining oqibatlari
asosiy adabiyotlar
fakulteti ahborot
ahborot havfsizligi
havfsizligi kafedrasi
fanidan bo’yicha
fakulteti iqtisodiyot
boshqaruv fakulteti
chiqarishda boshqaruv
ishlab chiqarishda
iqtisodiyot fakultet
multiservis tarmoqlari
fanidan asosiy
Uzbek fanidan
mavzulari potok
asosidagi multiservis
'aliyyil a'ziym
billahil 'aliyyil
illaa billahil
quvvata illaa
falah' deganida
Kompyuter savodxonligi
bo’yicha mustaqil
'alal falah'
Hayya 'alal
'alas soloh
Hayya 'alas
mavsum boyicha


yuklab olish